Synthesis
- What is Synthesis
- Which tool is using for the synthesis
- What are the inputs and outputs of Synthesis
- How to resolve the check_timing_intent issues in Genus
- How did you resolve the unresolved reference issue in the genus
- What is "Flist" , what does it contains
- What is RTL Code
- what synthesis guy will do if the netlist is not good?
- What is the wire load model?
- what is the NLDM wire load model? When will you use the NLDM Model
- What is the ccs wire load model?
- How do we reduce the Interconnect resistance
- What is the wire load model? Explain its classifications
- How to represent the Extracted parasitics
- what is DSPF? Explain its demerits
- What is RSPF? Explain its disadvantages
- What is SPEF
Physical Design
- What are the inputs for PNR
- In innovus which file should read first
- What does SDC contain
- What does .tf contains
- While running PNR flow which file should read first?
- Where do we get the leakage power and voltage information
- what does netlist contains
- What is the difference between tracks and Metal layers
- What is CPF
- What is Aspect Ratio
- What is the effect of Aspect Ratio in your design
- What is utilization
- How do we calculate the chip utilization
- What is you're starting utilization
- How to decide your core Area
- What is your Row height ?
- What is the offset value? what is the need for offset value in your design and what is the offset value of your design?
- What is Channel Spacing
- How to Calculate the Channel spacing
- How many types of Floor plans are there? which type of floor have you done for your design
- What is a black box
- Where do we place the macros in your design ? on what bases did you place the macros
- What does the meaning of Fly lines? how many types of Fly lines are there in your design
- What is abutted and non abutted floor plan?
- What is the meaning of the ORIENTATION
- What is the pitch of the Highest metal layer in your design
- Which metal layers are blocked by the macro pins
- How many pins are there for a one hierarchy macro family
- How many hierarchy's are there in your Design
- What is the impact on your design ? if i place the macros inside the core Area
- How many Metal Layers are there in your design
- What is Physical cells
- Which Physical cells are placed in floor plan stage?
- Why we need to use the well taps in your design
- What is the need of END cap cells
- What are the inputs for power plan
- How many stripes are there in your design
- What is stripe ,follow pin, rail,Ring
- What is the width of the Ring
- Which metal layers are prefers for RING and why ?
- Which metal layer is used for Ring and why?
- What is set to set distance in your design
- Which metal layers are used for stripes why?
- What are the checks you have done after the power plan?
- How many opens and shorts are there in your design
- After the power plan, what is your utilization
- What is the area of your design
- What is power?
- What is a dangling net? If your design has dangling nets on how to resolve this issue
- What is Power EM? What is Signal EM
- What is the impact on Timing by EM violation
- What is Antenna Effect?
- What is IR Drop? how many types of IR Drop are there in your design?
- What is the Impact of IR Drop on timing?
- What is the impact of the Power EM in your Design
- What are the disadvantages of the Signal EM
- What is SRoute?
- What is LEVEL SHIFTER? where do we use the Isolation cells in your design
- What is Isolation cells? Which cells are used as Isolation cells
- What is Retention flops? What is the need for this in your design
- What is always on Domain?
- What is the switch cell?
- What is the target IR drop in your domain
- How many power domains are there in your domain
- What is the placement?
- What is placement flow?
- What is SCAN DEF
- What does Scan def contains
- What are the checks have you done on after the placement
- What is Congestion
- What are the different Techniques have you used to reduce the Congestion
- Where did you observe the congestion in your design
- What is Cell padding
- After applying the Cell padding what kind of issue will come
- What is the difference between Module padding and instance padding
- After applying the Cell Padding what is the impact on your design
- Why padding is required?
- What is pin density?
- Due to which cells did you get the Pin density
- What is cell Density
- What is the density ? after the placement of the design
- What is Tie cell?
- What is the need to tie cell
- Where do we place the tie cells and what is the fanout of the Tie cell in your design
- Which cell is there in the Congestion Hot spot.
- Which timing checks we will do after the placement
- Why hold time is not considered at the placement stage
- What is the difference SCAN FLOP and Normal Flop
- If I am unable to meet the timing what should I do? at the placement stage?
- If I insert a buffer in test mode will it impact functional mode?
- What you do in Test mode for timing?
- What you did in test mode for timing closure?
- What are the violations you see in test mode?
- How to fix the SETUP violations
- How to fix the Hold violations
- How to fix the DRC Violations
- How to fix the Transition violations
- How to fix the Max cap violations
- While fixing the cap violation where do we add the Buffer
- What is the typical Buffer delay?
- What is the typical Delay cell delay?
- What is Scan chain reordering?
- What is the impact on design after scan chain reordering
- What is the difference between the Clock buffer and Normal buffer
- What is Transition in STA point of view
- Will you route the signal route without CTS?
- What does DEF contains
- What are the inputs and outputs of clock tree synthesis
- Which cells are used to build the clock tree and why
- If i use only clock inverters to build the clock tree what is the impact on the design
- If i use only clock buffers to build the clock tree what is the impact on the design
- If i use both clock inverters and clock buffers what is the impact on design
- What is CTS spec
- What does CTS spec file contains
- Why Clock tree synthesis is required
- What are the Objects of clock tree synthesis
- What is insertion Delay?
- Which Metal layer is used for clock route
- In which corner did you build the corner?
- Why clock uncertainty is specified for a clock?
- If you design have more skew what is the impact on you are design
- If insertion delay is more what will happens in your design?
- What is skew balancing
- What is use_full skew? where do we add the useful skew in your design
- What is cross talk? how to control the cross-talk
- Cross talk fixing techniques?
- What is cross talk noise? how to reduce the cross talk noise
- What is cross talk delay
- what is the output of the cross-talk tool
- What is the Min Pulse Width violation? how to resolve these violations
- Why min pulse width violation will cause?
- On which path min pulse width violation will cause? and why?
- What is the importance of the transition?
- What are the extracting tools do we have?
- Define
- skew
- slack
- uncertainty
- jitters
- transition
- slew
- cross-talk
- arrival time
- required time
- latency
- capacitance
- input delay
- output delay
- setup time
- hold time
- recovery time
- removal time
- multi-cycle paths
- false paths
- half cycle paths
No comments:
Post a Comment